Tao On Twitter





    Thursday, June 19, 2008

    (Even More) Useful Skew : Teklatech Adapts Useful Skew Concepts To Close Dynamic IR

    Zero clock skew is not a necessary thing. As long as timing can be closed, clock skew is immaterial. This kind of thinking is what gave birth to the concept of useful skew. The use of useful skew in contemporary design is mostly restricted to timing closure. In this method, the arrival of clock edges at the launch and sink registers of critical paths are changed to increase the effective clock period available to the critical path. Since different registers have different clock latencies, one side-effect of this optimization is that all your registers don't transition at once.

    But is there a benefit from having all your registers transition at different times? Enter Dynamic IR (stage left). When a large number of transitions occur in a very short period of time (right after the active clock edge, for example), the power network is not in a position to supply such a large amount of current in such a short space of time. Result: large and localized instantaneous drops.

    Teklatech's FloorDirector uses useful skew concepts to spread out the register transitions by playing around with the clock edges and thus reduces the dynamic IR drop problem without affecting timing. Elegant, huh?

    Tags : ,

    1 comment:

    1. Hello Aditya,
      greetings. Just to let you know we will be launching the new version of FloorDirector at DAC here on June 13 2010.
      Working with customers through the past year, we have been reconfirmed that power integrity and design closure as two major obstacles needed to be overcomed, and FloorDirectors engine was delivering impressing results. For DAC 2010 our focus has been on enhancing ease-of-use further. We succeeded.

      Please stop by at booth 341 at DAC, we would be happy to meet you.


      The link to our product sheet

      ReplyDelete